In this lesson we focus on AXI stream interfaces.

We use the Vivado HLS and create a set of example designs. The first one is a simple counter which sends the count values over its AXI stream master interface. The next example is the counter but with an additional AXI stream slave interface through which one can configure the counting range of the counter. Finally we create a module which contains one General Purpose I/O (GPIO) input and one AXI stream master output. The module receives the data over GPIO and sends them through the streaming interface.

For each of the designs, we develop a test bench and we verify the functionality of the design by going through the waveforms obtained from simulations.

We then go through synthesis and implementation of this block. We then import the output packaged IP created by Vivado HLS into vivado and we instantiate it in a sample design. We should how these modules can be connected to the rest of design through examples.

Presentation: axi_stream_in_detail

Course Video: Watch Online

Course Design Files: source_codes

Please make a donation if the videos have been useful for you.