Here I share notes for running Vivado and SDK on Ubuntu on an x64 bit CPU. First of all, you will have to set a bunch of environment variables, I use this file that I have created myself. export LC_TIME= export LC_MONETARY= export LC_PAPER= export LC_NAME= export LC_ADDRESS= export LC_TELEPHONE= export LC_MEASUREMENT= export LC_IDENTIFICATION= export LC_NUMERIC= source /opt/Xilinx/ export SWT_GTK3=0 The last line is new in comparison with previous versions of ubuntu and that is for SDK eclipse to be able to run. Now you will need some i386 libraries so that you can compile your applications for the ZYNQ.…
Continue Reading ยป